Approximate Circuits in Low-Power Image and Video Processing

L. Sekanina, Z. Vasicek, Z. Mrazek

Approximate Circuits in Low-Power Image and Video Processing

Číslo: 3/2017
Periodikum: Radioengineering Journal
DOI: 10.13164/re.2017.0623

Klíčová slova: Approximate computing, circuit design, evolutionary computation, image filter, Přibližné výpočty, návrh obvodu, evoluční výpočet, obrazový filtr

Pro získání musíte mít účet v Citace PRO.

Přečíst po přihlášení

Anotace: Low power image and video processing circuits are crucial in many applications of computer vision. Traditional techniques used to reduce power consumption in these applications have recently been accompanied by circuit approximation methods which exploit the fact that these applications are highly error resilient and, hence, the quality of image processing can be traded for power consumption. On the basis of a literature survey, we identified the components whose implementations are the most frequently approximated and the methods used for obtaining these approximations. One of the components is the median image filter. We propose, evaluate and compare two approximation strategies based on Cartesian genetic programming applied to approximate various common implementations of the median filter. For filters developed using these approximation strategies, trade-offs between the quality of filtering and power consumption are investigated. Under conditions of our experiments we conclude that better trade-offs are achieved when the image filter is evolved from scratch rather than a conventional filter is approximated.